Published: November 5, 2024

Floating Point Modules Evaluation Available

Product Announcement

Dillon Engineering has released for immediate download evaluation libraries for Aldec Riviera simulation of its Floating Point Library IP Core (FPLIC). Engineers can now evaluate the complete FPLIC library with pre-compiled encrypted models for comprehensive functional verification.

FPLIC Evaluation Package

The FPLIC Evaluation Library provides a complete environment for evaluating Dillon Engineering's floating-point arithmetic IP cores. This free evaluation package enables designers to thoroughly test FPLIC modules in their applications before committing to a license.

What's Included

Supported Operations

Basic Arithmetic

Operation Single Precision Double Precision
Addition
Subtraction
Multiplication
Division
Square Root

Advanced Functions

Conversion Operations

Comparison Operations

Aldec Riviera-PRO Support

Why Riviera-PRO?

Aldec Riviera-PRO is a high-performance HDL simulator that provides:

Installation and Setup

The evaluation package includes simple setup instructions:

  1. Download the FPLIC evaluation package
  2. Extract to your working directory
  3. Source the setup script for Riviera-PRO
  4. Compile the provided testbenches
  5. Run simulations and analyze results

Getting Started

Quick Start Example

The evaluation package includes a quick start example demonstrating floating-point addition:

-- VHDL Example: Floating Point Addition library ieee; use ieee.std_logic_1164.all; library fplic; use fplic.fplic_pkg.all; entity fp_add_example is end fp_add_example; architecture behavior of fp_add_example is signal clk : std_logic := '0'; signal a, b, result : std_logic_vector(31 downto 0); signal valid_in, valid_out : std_logic; begin -- Clock generation clk <= not clk after 5 ns; -- Instantiate FPLIC adder fp_adder: entity fplic.fp_add_sp port map ( clk => clk, valid_in => valid_in, a => a, b => b, result => result, valid_out => valid_out ); -- Test stimulus process begin valid_in <= '0'; wait for 20 ns; -- Test case: 3.14 + 2.71 a <= X"4048F5C3"; -- 3.14 in IEEE 754 b <= X"402D70A4"; -- 2.71 in IEEE 754 valid_in <= '1'; wait for 10 ns; valid_in <= '0'; wait for 100 ns; -- Result should be 5.85 wait; end process; end behavior;

Running Simulations

To run the example simulations:

# Compile the libraries vlib fplic_lib vmap fplic fplic_lib # Compile FPLIC evaluation library vcom -work fplic fplic_eval.vhd # Compile and run testbench vcom fp_add_example.vhd vsim fp_add_example run -all

Evaluation Features

Full Functional Verification

The evaluation library provides complete functionality:

Comprehensive Testing

Included test suites cover:

Transitioning to Production

After Evaluation

Once you've completed your evaluation, transitioning to production is straightforward:

Licensing Options

Multiple licensing options available:

Additional Simulator Support

While this announcement focuses on Riviera-PRO, FPLIC is also available for:

Contact Dillon Engineering for evaluation packages for other simulators.

Technical Support

Evaluation Support

Even during evaluation, Dillon Engineering provides support:

Migration Assistance

We help you transition from software to hardware floating-point:

Success Stories

Customer Testimonial

"The FPLIC evaluation package made it easy to verify that floating-point arithmetic would work for our application. The pre-compiled libraries integrated seamlessly with our existing testbenches, and the comprehensive test vectors gave us confidence in the IP's correctness. We moved from evaluation to production license within two weeks."

— Senior FPGA Engineer, Medical Imaging Company

Download Today

Get Your Free Evaluation

Download the FPLIC evaluation package today and start exploring high-performance floating-point arithmetic for your FPGA designs. No registration required, no commitments - just download and start evaluating.

Package Contents:

  • Pre-compiled Riviera-PRO libraries
  • Complete testbench suite
  • User documentation
  • Example designs
  • 30-day evaluation license

Download Evaluation Package

Questions? Email: info@dilloneng.com

Related Resources

← Back to News